Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Моделирование Nios ii в Modelsim

Вс янв 05, 2014 15:05:04

Здравствуйте уважаемые коты, всех с Новым Годом :) Писал о своей проблеме на нескольких форумах... в. ч. и на этом.. немного в другом разделе... ну и вообщем молчёк... А вот что делать ума не приложу.. Суть в следующем:
Использую квартус 10.0 у него нет никакого Qsys'а, только SOPC Builder. Для написание программы для ниос использую Nios II 10.0sp1 Software Build Tools for Eclipse. Modelsim альтеровский v6.5a.
1) Открываю Quartus создаю проект "sim";
2) В меню квартуса лезу Tools -> SopcBuilder;
3) Создаю там систему (сам процессор (самый маленький т.е. е), on chip mamory (ram или rom) и выходной 8-ми разрядный порт). В окне Generate ставлю галку Simulator. Create project simulator files. В меню Tools указываю путь к Modelsim : C:\altera\10.0\modelsim_ase\win32aloem. Жму Generate всё ок;
4) Компилю всё в квартусе, всё ок;
5) Иду в Nios II 10.0sp1 Software Build Tools for Eclipse. В меню File -> New -> Niosii Application and BSP from Tampliate. Далее указываю файл описания системы (sim.sopcinfo) и задаю название своего проекта (почему то нельзя создавать проект в той же дирректории что и процессор, так что указываю отдельную папку);
6) Кликаю по названия проекта правой кнопкой мыши в выпавшем спмске Make targets->Build даее mem_init_install и Build. Всё норм компилится.
7) Затем жму кнопку Run -> Run configuration -> Niosii Modelsim -> New_configuratio. Выбираю название проекта "Nios_pro" и задаю путь к моделсиму C:\altera\10.0\modelsim_ase\win32aloem;
8) Жму Run. Загружается Modelsim и.... результат на картинке...

Изображение
Может кто посоветует что... Был бы крайне благодарен!
Ответить