Программируемая логика - это не так уж и сложно. Разберемся вместе.
Ответить

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Пт ноя 15, 2019 20:28:31

Во чё не стреляла - не заряжена была))

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Пн ноя 25, 2019 12:20:08

вот такой вопрос возник - как у макс10 с толерантностью к +-5В по входам? возможно буду операционник ставить с питанием +-5В, вот думаю - надо еще промежуточный транзистор лепить или плиска выдержит...

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Пн ноя 25, 2019 12:25:15

Раздел DC and Switching characteristics хэндбука в помощь.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Пн ноя 25, 2019 13:51:36

Понял. Вечером почитаю.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Ср дек 11, 2019 15:24:37

Народ, хочу начать осваивать ПЛИС, нашел 2 платки - Altera https://arduino.ua/prod2903-otladochnay ... -iv-ep4ce6 и Xilinx https://arduino.ua/prod2902-plata-razra ... -6-xc6slx9. Подскажите, кто шарит, какую плату лучше взять или какая проще для освоения, или лучше приобрести что другое?
Последний раз редактировалось Frogfot Ср дек 11, 2019 16:11:21, всего редактировалось 1 раз.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Ср дек 11, 2019 15:49:36

Да Вы, батенька, провокатор!!! Ща холивар тут между ксайлинксовцами и альтеровцами разведёте)))) А если по делу, то лично я бы взял ксайлинх. В своё время часть ip ядер у альтеры были платные (какой нибудь фир например), а у ксайлинкса нет. Впрочем для начала Вас это смущать не должно. Что касается софта, то у альтеры он конечно намного юзерфрендли... хотя ксайлинсовская вивада вроде то же норм!!! По большому счёту разница ведь только в архитектурах микросхем и терминах, так что освоив одно - освоите и другое, но объективно говоря, порог вхождения для Альтеры всё же ниже (покрайней мере был раньше). Уровень интеграции у обоих производителей зашкаливает, но опять же на первых парах Вам это не принципиально.
P.S. Не знаю какое сейчас отношение рубля к гривне, но сдаётся мне, что лучше бы Вам эти платы на алиэкспрессе глянуть... Тем более вроде они с него как раз...

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Ср дек 11, 2019 16:34:38

Да Вы, батенька, провокатор!!! Ща холивар тут между ксайлинксовцами и альтеровцами разведёте)))).

Даже не подумал, что серъёзные люди будут страдать фигнёй. Хотя, с другой стороны, если не флейм, что круче, а аргументирование сравнение - типа у Альтер что-то сделано так, а у Ксилинксов по другому, то я только "за".

А если по делу, то лично я бы взял ксайлинх. В своё время часть ip ядер у альтеры были платные (какой нибудь фир например), а у ксайлинкса нет.

Спасибо, даже ещё не вникал в такие дебри.

Что касается софта, то у альтеры он конечно намного юзерфрендли... хотя ксайлинсовская вивада вроде то же норм!!! По большому счёту разница ведь только в архитектурах микросхем и терминах, так что освоив одно - освоите и другое, но объективно говоря, порог вхождения для Альтеры всё же ниже (покрайней мере был раньше). Уровень интеграции у обоих производителей зашкаливает, но опять же на первых парах Вам это не принципиально.

Тоже читал, что Altera будет проще начать.

P.S. Не знаю какое сейчас отношение рубля к гривне, но сдаётся мне, что лучше бы Вам эти платы на алиэкспрессе глянуть... Тем более вроде они с него как раз...

Сейчас брать с Али - почта перегружена, посылка будет идти месяц или больше, а так поехал - купил. Посмотрю, по чём эти платки на Али. Конечно, будет дешевле - по курсу здесь Altera ~ 50 у.е., Xilinx ~ 75 у.е. Кроме того, в этом шопе дают пол года гарантии, в случае чего не надо связываться с продавцом, менять плату, ждать.

Добавлено after 6 minutes 28 seconds:
2 Грендайзер: А по функционалу как платки - вроде на Xilinx побольше ячеек, и обвязки больше?
Последний раз редактировалось Frogfot Ср дек 11, 2019 19:07:08, всего редактировалось 1 раз.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Ср дек 11, 2019 18:10:00

2 Грендайзер: А по функционалу как платки - вроде на Xilinx побольше ячеек, и обвязки больше?

Отделим мух от котлет. Что касается ячеек - см. выше, где я писал про разницу архитектур и терминологии. У алтеры основной конфигурируемой единицей (во всяком случае до интеловского периода) был Логический Элемент. В него входил 4-х входовой лут (количество входов зависело от семейства), триггер и... ещё там чё то... У ксайлинкса конфигурируемой единицей считается слайс, в который входи, обычно 2 лута,2 триггера и ещё всякая разная хрень. Но при этом, количество логических ячеек, каждый производитель считает по своему. Так что в этом плане, сравнивать по количеству лог. вентелей (лог. ячеек) - вещь весьма условная. Вообщем 4-ый сыклон это ведь, как принято говорить - симметричный ответ на ксайлинсовский 6-ой спартан. Так что микрухе вообщем равноправны, за исключением - dsp блоков. Их у ссыклона нет! Но как таковые dsp блоки, Вам понадобятся если будите обработку делать, да и то, их преимуществами можно воспользоваться лишь после того, как наступит определённый уровень понимания плис вцелом... а это процесс не быстрый. А вообще... выберете микросхему у которой больше блоков блочной памяти (пардон за тавтологию) и умножителей. Эти данные приведены в даташитах.
Что касается функционала самих плат - он примерно одинаков... так что сами решайте, но я бы взял ту у которой памяти больше и больше выведено ног, что б можно было подключить побольше периферии.
Сейчас брать с Али - почта перегружена, посылка будет идти месяц или больше, а так поехал - купил.

А Вы их, пардоньте, чем программировать собрались? Хвостом что ли? :)) Вам программатор нужен, на али есть дешёвые подделки, а оригинал это дорогое удовольствие.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Ср дек 11, 2019 19:13:06

Спасибо за разъяснение Грендайзер.

Еще вопрос по этим плисам - я понял, что у Альтеры тактовая 50 МГц, а у Ксилинкса есть внутренний ПЛЛ - т.е. можно тактировать 200 или больше МГц?

А Вы их, пардоньте, чем программировать собрались? Хвостом что ли? :)) Вам программатор нужен, на али есть дешёвые подделки, а оригинал это дорогое удовольствие.

Здесь в комплекте с Альтеровской платой идёт Байт Бластер (не оригинал, конечно - клон). Для Ксилинкса придётся самому паять - аж 2 микросхемы 74HC125.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Чт дек 12, 2019 10:08:31

Еще вопрос по этим плисам - я понял, что у Альтеры тактовая 50 МГц, а у Ксилинкса есть внутренний ПЛЛ

Сомневаюсь, что в 4-ом цыклоне нет блоков ФАПЧ (PLL). Более того, подозреваю, что их там несколько, расположены они по углам кристалла и имеют по несколько выводов. Уточните это в документации.

т.е. можно тактировать 200 или больше МГц?

Да хоть 1ГГц (шутка) :)) Любопытно, люди когда впервые знакомятся с ПЛИС и узнают, что там есть ФАПЧ, сразу начинают мечтать о проектах на скоростях близких к космическим :)) Ну эт я так... просто любопытное наблюдение. 200 МГц для 4-ого цыклона (как и для 6-ого спартана) - частота, в принципе, подъёмная, но конечно не обойтись без слёз, соплей и разочаровании в собственных силах :)) 8) А вообще здесь я этой темы касался. Посмотрите повнимательней.

Для Ксилинкса придётся самому паять - аж 2 микросхемы 74HC125.

Ну это я комментировать не стану... Вам виднее...

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Чт дек 12, 2019 10:36:50

Сомневаюсь, что в 4-ом цыклоне нет блоков ФАПЧ (PLL). Более того, подозреваю, что их там несколько, расположены они по углам кристалла и имеют по несколько выводов. Уточните это в документации.

Спасибо, буду курить даташит, просто поначалу всё не понятно.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Вс янв 05, 2020 20:58:00

Я н и разу не имел дел с ПЛИС. Решил поковырять. Заказал MAX II чип EPM240T100I5. Начал набрасывать платку тестовую и вот тут наткнулся на то что в ПЛИС вроде как есть встроенный тактовый генератор и можно подключать внешний.
Только я не смог найти как включить этот встроенный. Скачал 13 версию Quartus II Web Edition. Везде пишут надо клацнуть в правом окне IP Catalog. Нет у меня справа окон... Нагуглил что тогда в меню Tools оно. Нет у меня там такого. Ни где не нашел где это...
Нашел только Qsys в котором хоть что то о Clock есть. Но я так понял там я просто указываю какая частота у внешнего генератора.

Возникло несколько вопросов.

1) Как запустить от внутреннего?
2) Внешний нужен именно активный генератор? Просто кварцевый резонатор не подойдет?
3) Судя по примеру внешний кварц выглядет просто как какой то импульс не важно какой частоты, он нужен просто чтобы можно было делать через определенное количество сигналов, например чрез промежуток времени. То есть по факту он не нужен вообще может быть? Допустим я рисую картинку, 1 элемент AND2, в нем подключаю вывод 1 и 2 на вход, а вывод 3 на выход. Я нажимаю на кнопки на выводе 1 и 2 и тогда на выводе 3 я получаю VCC? То есть по сути кварц не нужен?

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Пн янв 06, 2020 15:26:37

1) Суть в том, чтобы втянуть в проект блок из библиотеки, который запустит генератор. В IP Catalog имеется мастер для конфигурации и импорта этого блока. Я использую Quartus Prime 18, поэтому больше подсказать не смогу.
2) Да, именно генератор. Если у Вас проблема купить генератор, его можно собрать из резонатора и подходящей микросхемы логики. В качестве эксперимента можно попробовать задействовать сам ПЛИС :roll:
3) Да, сам ПЛИС работает без кварца, это не МК который нужно тактировать. Здесь тактовый сигнал это просто сигнал - хотите подключайте, хотите нет. Если Вам нужна просто реакция на кнопки - можно обойтись без тактирования.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Пн янв 06, 2020 22:05:38

Спасибо! Я уже все перечитал. Нет у меня такого. Во вкладе проект есть upgrade IP components но там пусто...

Какая последняя версия бесплатная? Вижу есть Quartus II Web Edition 19. Но если я выбираю по модели Max II то пишет что максимум 15 версия. В 19 нет поддержки Max II?

Добавлено after 23 minutes 3 seconds:
Может для IP Catalog нужно что то доставить?

Добавлено after 16 minutes 20 seconds:
Может быть нет этого меню если я не зарегистрирован?

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Вт янв 07, 2020 11:57:38

Вот на моей EPM240 есть 2 банка. Я так понял выводы микросхем относятся к конкретному банку.
Допустим Pin_1 это банк 1, а Pin_70 это банк 2. Я случайно взял пины, просто для примера, может я случайна попал на питание или на один банк, допустим это два разных банка и это просто пины I/O.
Так вот, если я нарисую AND2 и на одну ногу поставлю 1 пин а на вторую 70, это будет работать? Разные банки могут же быть запитаны разным питанием, если я запитаю банк 1 от 1.5В а банк 2 от 3.3В лог уровни же будут разные.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Вт янв 07, 2020 19:00:09

В новых версиях САПР (квартус в частности) не поддерживаются старые семейства. Так что качайте более старые версии и работайте в них.
Назначить питающий вывод в качестве сигнального САПР вам не даст- проект не скомпилируется.
Запитывать банки разным напряжением можно, но важно помнить, что на входы следует подавать сигналы соответствующие питанию. Несоблюдение этого правила может привести к выходу из строя как самой пдис, так и электроники вне ПЛИС.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Вт янв 07, 2020 19:27:39

У меня микросхема поддерживается в 13 версии. Вопрос в том нет пункта IP Catalog вообще. Ни в Tools ни где нет. Отсюда я не понимаю как генератор внутренний использовать.

Питающий вывод я и не собирался. Я на оборот написал что если мой пример 1 и 70 пины попали на питающий то это случайно, я не видел перед глазами распиновки.
Вопрос был могут ли два пина с разных банок быть входами одного лог элемента. То есть Pin 1 это банк 2, Pin 2 это банк 1 я посмотрел уже. Можно ли на AND2 подключить входы, один в пин 1 (банк 2) второй вход к пин 2 (банк 1).

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Ср янв 08, 2020 07:12:03

В старых версиях вместо ip catalog был mega wizard.

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Ср янв 08, 2020 10:31:46

Так не старая версия. Это 13 версия. В 9 версии есть IP Catalog. В 18 Версии есть IP Catalog. В 13 нет! В 13 как будто вместо него Qsys какой то, но там нет настройки внутреннего генератора...

Re: Мелкие вопросы по ПЛИС ( FPGA/CPLD ) и прошивки на них

Сб янв 25, 2020 14:10:06

Вопрос был могут ли два пина с разных банок быть входами одного лог элемента.
Конечно могут. Банки — это просто свойство пина (а это может быть и дифф. пара), внутри они все приводятся к одному напряжению питания ядра.

В 13-м квартусе встроенный осциллятор вроде ALT_INTOSC.
Ответить